受强制性开放获取政策约束的文章 - Andrew B. Kahng了解详情
无法在其他位置公开访问的文章:3 篇
TritonRoute-WXL: The open-source router with integrated DRC engine
AB Kahng, L Wang, B Xu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2021
强制性开放获取政策: US National Science Foundation, US Department of Defense
Core-eco: Concurrent refinement of detailed place-and-route for an efficient eco automation
CK Cheng, AB Kahng, I Kang, M Kim, D Lee, B Lin, D Park, M Woo
2021 IEEE 39th International Conference on Computer Design (ICCD), 366-373, 2021
强制性开放获取政策: US National Science Foundation, US Department of Defense
Optimal bounded-skew steiner trees to minimize maximum k-active dynamic power
H Fatemi, AB Kahng, M Kim, JP de Gyvez
Proceedings of the Workshop on System-Level Interconnect: Problems and …, 2020
强制性开放获取政策: US National Science Foundation, US Department of Defense
可在其他位置公开访问的文章:53 篇
CACTI 7: New tools for interconnect exploration in innovative off-chip memories
R Balasubramonian, AB Kahng, N Muralimanohar, A Shafiee, V Srinivas
ACM Transactions on Architecture and Code Optimization (TACO) 14 (2), 1-25, 2017
强制性开放获取政策: US National Science Foundation
Replace: Advancing solution quality and routability validation in global placement
CK Cheng, AB Kahng, I Kang, L Wang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
强制性开放获取政策: US National Science Foundation
Toward an open-source digital flow: First learnings from the openroad project
T Ajayi, VA Chhabria, M Fogaça, S Hashemi, A Hosny, AB Kahng, M Kim, ...
Proceedings of the 56th Annual Design Automation Conference 2019, 1-4, 2019
强制性开放获取政策: US Department of Defense
Machine learning applications in physical design: Recent results and directions
AB Kahng
Proceedings of the 2018 international symposium on physical design, 68-73, 2018
强制性开放获取政策: US National Science Foundation
IncPIRD: Fast learning-based prediction of incremental IR drop
CT Ho, AB Kahng
2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2019
强制性开放获取政策: US National Science Foundation, US Department of Defense
TritonRoute: An initial detailed router for advanced VLSI technologies
AB Kahng, L Wang, B Xu
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2018
强制性开放获取政策: US National Science Foundation
Prim-Dijkstra revisited: Achieving superior timing-driven routing trees
CJ Alpert, WK Chow, K Han, AB Kahng, Z Li, D Liu, S Venkatesh
Proceedings of the 2018 International Symposium on Physical Design, 10-17, 2018
强制性开放获取政策: US National Science Foundation
Using machine learning to predict path-based slack from graph-based timing analysis
AB Kahng, U Mallappa, L Saul
2018 IEEE 36th International Conference on Computer Design (ICCD), 603-612, 2018
强制性开放获取政策: US National Science Foundation, US Department of Defense
Cross-layer co-optimization of network design and chiplet placement in 2.5-D systems
A Coskun, F Eris, A Joshi, AB Kahng, Y Ma, A Narayan, V Srinivas
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2020
强制性开放获取政策: US National Science Foundation
Adaptive tuning of photonic devices in a photonic NoC through dynamic workload allocation
JL Abellán, AK Coskun, A Gu, W Jin, A Joshi, AB Kahng, J Klamkin, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2016
强制性开放获取政策: US National Science Foundation
Tritonroute: The open-source detailed router
AB Kahng, L Wang, B Xu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2020
强制性开放获取政策: US National Science Foundation, US Department of Defense
" Unobserved corner" prediction: Reducing timing analysis effort for faster design convergence in advanced-node design
AB Kahng, U Mallappa, L Saul, S Tong
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), 168-173, 2019
强制性开放获取政策: US National Science Foundation, US Department of Defense
Template-based PDN synthesis in floorplan and placement using classifier and CNN techniques
VA Chhabria, AB Kahng, M Kim, U Mallappa, SS Sapatnekar, B Xu
2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC), 44-49, 2020
强制性开放获取政策: US Department of Defense
Reducing time and effort in IC implementation: A roadmap of challenges and solutions
AB Kahng
Proceedings of the 55th Annual Design Automation Conference, 1-6, 2018
强制性开放获取政策: US National Science Foundation
The OpenROAD project: Unleashing hardware innovation
AB Kahng, T Spyrou
Proc. GOMAC, 2021
强制性开放获取政策: US Department of Defense
Assessment of reinforcement learning for macro placement
CK Cheng, AB Kahng, S Kundu, Y Wang, Z Wang
Proceedings of the 2023 International Symposium on Physical Design, 158-166, 2023
强制性开放获取政策: US National Science Foundation, US Department of Defense
Leveraging thermally-aware chiplet organization in 2.5 D systems to reclaim dark silicon
F Eris, A Joshi, AB Kahng, Y Ma, S Mojumder, T Zhang
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2018
强制性开放获取政策: US National Science Foundation
出版信息和资助信息由计算机程序自动确定