Các bài viết có thể truy cập công khai - Arvind SinghTìm hiểu thêm
Không có ở bất kỳ nơi nào: 8
8.1 Improved power-side-channel-attack resistance of an AES-128 core via a security-aware integrated buck voltage regulator
M Kar, A Singh, S Mathew, A Rajan, V De, S Mukhopadhyay
2017 IEEE International Solid-State Circuits Conference (ISSCC), 142-143, 2017
Các cơ quan ủy nhiệm: US National Science Foundation
Design of high efficiency integrated voltage regulators with embedded magnetic core inductors
S Mueller, KZ Ahmed, A Singh, AK Davis, S Mukhopadyay, ...
2016 IEEE 66th Electronic Components and Technology Conference (ECTC), 566-573, 2016
Các cơ quan ủy nhiệm: US National Science Foundation
Exploiting Fully Integrated Inductive Voltage Regulators to Improve Side Channel Resistance of Encryption Engines
M Kar, A Singh, S Mathew, A Rajan, V De, S Mukhopadhyay
Proceedings of the 2016 International Symposium on Low Power Electronics and …, 2016
Các cơ quan ủy nhiệm: US National Science Foundation
Exploiting on-chip power management for side-channel security
A Singh, M Kar, S Mathew, A Rajan, V De, S Mukhopadhyay
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), 401-406, 2018
Các cơ quan ủy nhiệm: US National Science Foundation
A Fully Synthesized Integrated Buck Regulator with Auto-generated GDS-II in 65nm CMOS Process
VCK Chekuri, NM Rahman, E Lee, A Signh, S Mukhopadhyay
2020 IEEE Custom Integrated Circuits Conference (CICC), 1-4, 2020
Các cơ quan ủy nhiệm: US Department of Defense
An integrated inductive VR with a 250MHz all-digital multisampled compensator and on-chip auto-tuning of coefficients in 130nm CMOS
M Kar, A Singh, A Rajan, V De, S Mukhopadhyay
ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference, 453-456, 2016
Các cơ quan ủy nhiệm: US National Science Foundation
Automatic GDSII Generator for On-Chip Voltage Regulator for Easy Integration in Digital SoCs
VCK Chekuri, N Dasari, A Singh, S Mukhopadhyay
2019 IEEE/ACM International Symposium on Low Power Electronics and Design …, 2019
Các cơ quan ủy nhiệm: US Department of Defense
What does ultra low power requirements mean for side-channel secure cryptography?
M Kar, A Singh, A Rajan, V De, S Mukhopadhyay
2016 IEEE 34th International Conference on Computer Design (ICCD), 686-689, 2016
Các cơ quan ủy nhiệm: US National Science Foundation
Có tại một số nơi: 12
Architecture, Chip, and Package Codesign Flow for Interposer-Based 2.5-D Chiplet Integration Enabling Heterogeneous IP Reuse
J Kim, G Murali, H Park, E Qin, H Kwon, VCK Chekuri, NM Rahman, ...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 28 (11 …, 2020
Các cơ quan ủy nhiệm: US Department of Defense
Reducing power side-channel information leakage of AES engines using fully integrated inductive voltage regulator
M Kar, A Singh, SK Mathew, A Rajan, V De, S Mukhopadhyay
IEEE Journal of Solid-State Circuits 53 (8), 2399-2414, 2018
Các cơ quan ủy nhiệm: US National Science Foundation
Architecture, chip, and package co-design flow for 2.5 D IC design enabling heterogeneous IP reuse
J Kim, G Murali, H Park, E Qin, H Kwon, V Chaitanya, K Chekuri, N Dasari, ...
Proceedings of the 56th Annual Design Automation Conference 2019, 1-6, 2019
Các cơ quan ủy nhiệm: US Department of Defense
Energy efficient and side-channel secure cryptographic hardware for IoT-edge nodes
A Singh, N Chawla, JH Ko, M Kar, S Mukhopadhyay
IEEE Internet of Things Journal 6 (1), 421-434, 2018
Các cơ quan ủy nhiệm: US National Science Foundation, US Department of Defense
An All-Digital Fully Integrated Inductive Buck Regulator With A 250-MHz Multi-Sampled Compensator and a Lightweight Auto-Tuner in 130-nm CMOS
M Kar, A Singh, A Rajan, V De, S Mukhopadhyay
IEEE Journal of Solid-State Circuits 52 (7), 1825-1835, 2017
Các cơ quan ủy nhiệm: US National Science Foundation
A spectral convolutional net for co-optimization of integrated voltage regulators and embedded inductors
HM Torun, H Yu, N Dasari, VCK Chekuri, A Singh, J Kim, SK Lim, ...
2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2019
Các cơ quan ủy nhiệm: US National Science Foundation, US Department of Defense
A Digital Low-Dropout Regulator With Autotuned PID Compensator and Dynamic Gain Control for Improved Transient Performance Under Process Variations and Aging
A Singh, M Kar, VCK Chekuri, SK Mathew, A Rajan, V De, ...
IEEE Transactions on Power Electronics 35 (3), 3242-3253, 2019
Các cơ quan ủy nhiệm: US National Science Foundation, US Department of Defense
Integrated all-digital low-dropout regulator as a countermeasure to power attack in encryption engines
A Singh, M Kar, A Rajan, V De, S Mukhopadhyay
2016 IEEE International Symposium on Hardware Oriented Security and Trust …, 2016
Các cơ quan ủy nhiệm: US National Science Foundation
Automated generation of all-digital I/0 library cells for system-in-package integration of multiple dies
M Lee, A Singh, HM Torun, J Kim, S Lim, M Swaminathan, ...
2018 IEEE 27th Conference on Electrical Performance of Electronic Packaging …, 2018
Các cơ quan ủy nhiệm: US Department of Defense
Reducing Side-Channel Leakage of Encryption Engines Using Integrated Low-Dropout Voltage Regulators
A Singh, M Kar, S Mathew, A Rajan, V De, S Mukhopadhyay
Journal of Hardware and Systems Security 1 (4), 340-355, 2017
Các cơ quan ủy nhiệm: US National Science Foundation
Automated I/O Library Generation for Interposer-Based System-in-Package Integration of Multiple Heterogeneous Dies
M Lee, A Singh, HM Torun, J Kim, SK Lim, M Swaminathan, ...
IEEE Transactions on Components, Packaging and Manufacturing Technology 10 …, 2019
Các cơ quan ủy nhiệm: US Department of Defense
On the Design of Energy-Efficient I/O Circuits for Interposer-based 2.5 D System-in-Package
M Lee, J Kim, A Singh, HM Torun, M Swaminathan, S Lim, ...
2018 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference …, 2018
Các cơ quan ủy nhiệm: US Department of Defense
Chương trình máy tính sẽ tự động xác định thông tin xuất bản và thông tin về nhà tài trợ