Artikelen met mandaten voor openbare toegang - Tushar KrishnaMeer informatie
Nergens beschikbaar: 6
Hardware-Software co-design for real-time latency-accuracy navigation in tinyML applications
P Behnam, J Tong, A Khare, Y Chen, Y Pan, P Gadikar, A Bambhaniya, ...
IEEE Micro, 2023
Mandaten: US National Science Foundation
SNATCH: Stealing Neural Network Architecture from ML Accelerator in Intelligent Sensors
S Sharma, U Kamal, J Tong, T Krishna, S Mukhopadhyay
2023 IEEE SENSORS, 1-4, 2023
Mandaten: US Department of Defense
A High Performance Computing Architecture for Real-Time Digital Emulation of RF Interactions
M Mukherjee, NM Rahman, C DeLude, J Driscoll, U Kamal, J Woo, J Seo, ...
2023 IEEE Radar Conference (RadarConf23), 1-6, 2023
Mandaten: US Department of Defense
Efficiently solving partial differential equations in a partially reconfigurable specialized hardware
B Asgari, R Hadidi, T Krishna, H Kim, S Yalamanchili
IEEE Transactions on Computers 70 (4), 524-538, 2021
Mandaten: US National Science Foundation
FPGA-Based High-Performance Real-Time Emulation of Radar System Using Direct Path Compute Model
X Mao, M Mukherjee, NM Rahman, U Kamal, S Sharma, P Behnam, ...
2023 IEEE/MTT-S International Microwave Symposium-IMS 2023, 419-422, 2023
Mandaten: US Department of Defense
AIrchitect: Automating Hardware Architecture and Mapping Optimization
A Samajdar, JM Joseph, T Krishna
2023 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-6, 2023
Mandaten: US National Science Foundation
Ergens beschikbaar: 60
Understanding reuse, performance, and hardware cost of dnn dataflow: A data-centric approach
H Kwon, P Chatarasi, M Pellauer, A Parashar, V Sarkar, T Krishna
Proceedings of the 52nd Annual IEEE/ACM International Symposium on …, 2019
Mandaten: US National Science Foundation
A systematic methodology for characterizing scalability of dnn accelerators using scale-sim
A Samajdar, JM Joseph, Y Zhu, P Whatmough, M Mattina, T Krishna
2020 IEEE International Symposium on Performance Analysis of Systems and …, 2020
Mandaten: US National Science Foundation
Maestro: A data-centric approach to understand reuse, performance, and hardware cost of dnn mappings
H Kwon, P Chatarasi, V Sarkar, T Krishna, M Pellauer, A Parashar
IEEE micro 40 (3), 20-29, 2020
Mandaten: US National Science Foundation
Heterogeneous dataflow accelerators for multi-DNN workloads
H Kwon, L Lai, M Pellauer, T Krishna, YH Chen, V Chandra
2021 IEEE International Symposium on High-Performance Computer Architecture …, 2021
Mandaten: US National Science Foundation
Gamma: Automating the hw mapping of dnn models on accelerators via genetic algorithm
SC Kao, T Krishna
Proceedings of the 39th International Conference on Computer-Aided Design, 1-9, 2020
Mandaten: US National Science Foundation
Characterizing the deployment of deep neural networks on commercial edge devices
R Hadidi, J Cao, Y Xie, B Asgari, T Krishna, H Kim
2019 IEEE International Symposium on Workload Characterization (IISWC), 35-48, 2019
Mandaten: US National Science Foundation
Co-exploration of neural architectures and heterogeneous asic accelerator designs targeting multiple tasks
L Yang, Z Yan, M Li, H Kwon, L Lai, T Krishna, V Chandra, W Jiang, Y Shi
2020 57th ACM/IEEE Design Automation Conference (DAC), 1-6, 2020
Mandaten: US National Science Foundation
Confuciux: Autonomous hardware resource assignment for dnn accelerators using reinforcement learning
SC Kao, G Jeong, T Krishna
2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture …, 2020
Mandaten: US National Science Foundation
Architecture, chip, and package codesign flow for interposer-based 2.5-D chiplet integration enabling heterogeneous IP reuse
J Kim, G Murali, H Park, E Qin, H Kwon, VCK Chekuri, NM Rahman, ...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 28 (11 …, 2020
Mandaten: US Department of Defense
LATR: Lazy translation coherence
MK Kumar, S Maass, S Kashyap, J Veselý, Z Yan, T Kim, A Bhattacharjee, ...
Proceedings of the Twenty-Third International Conference on Architectural …, 2018
Mandaten: US National Science Foundation, US Department of Defense
Stonne: Enabling cycle-level microarchitectural simulation for dnn inference accelerators
F Muñoz-Martínez, JL Abellán, ME Acacio, T Krishna
2021 IEEE International Symposium on Workload Characterization (IISWC), 201-213, 2021
Mandaten: US National Science Foundation, US Department of Energy, Government of Spain
Alrescha: A lightweight reconfigurable sparse-computation accelerator
B Asgari, R Hadidi, T Krishna, H Kim, S Yalamanchili
2020 IEEE International Symposium on High Performance Computer Architecture …, 2020
Mandaten: US National Science Foundation
Architecture, chip, and package co-design flow for 2.5 D IC design enabling heterogeneous IP reuse
J Kim, G Murali, H Park, E Qin, H Kwon, V Chaitanya, K Chekuri, N Dasari, ...
Proceedings of the 56th Annual Design Automation Conference 2019, 1-6, 2019
Mandaten: US Department of Defense
Marvel: A data-centric approach for mapping deep learning operators on spatial accelerators
P Chatarasi, H Kwon, A Parashar, M Pellauer, T Krishna, V Sarkar
ACM Transactions on Architecture and Code Optimization (TACO) 19 (1), 1-26, 2021
Mandaten: US National Science Foundation, US Department of Energy
Publicatie- en financieringsgegevens worden automatisch vastgesteld door een computerprogramma