Artikelen met mandaten voor openbare toegang - Jeff J. ZHANGMeer informatie
Nergens beschikbaar: 2
An adaptive invasive weed optimization algorithm
S Peng, AJ Ouyang, JJ Zhang
International Journal of Pattern Recognition and Artificial Intelligence 29 …, 2015
Mandaten: National Natural Science Foundation of China
Vecpac: A vectorizable and precision-aware cgra
C Tan, D Patil, A Tumeo, G Weisz, S Reinhardt, J Zhang
2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD), 1-9, 2023
Mandaten: US Department of Energy
Ergens beschikbaar: 19
Fault-tolerant systolic array based accelerators for deep neural network execution
JJ Zhang, K Basu, S Garg
IEEE Design & Test 36 (5), 44-53, 2019
Mandaten: US National Science Foundation
Building robust machine learning systems: Current progress, research challenges, and opportunities
JJ Zhang, K Liu, F Khalid, MA Hanif, S Rehman, T Theocharides, A Artussi, ...
Proceedings of the 56th Annual Design Automation Conference 2019, 1-4, 2019
Mandaten: US National Science Foundation
RecPipe: Co-designing Models and Hardware to Jointly Optimize Recommendation Quality and Performance
U Gupta, S Hsia, J Zhang, M Wilkening, J Pombra, HHS Lee, GY Wei, ...
2021 54th Annual IEEE/ACM International Symposium on Microarchitecture …, 2021
Mandaten: US National Science Foundation
Power efficiency for hardware/software partitioning with time and area constraints on mpsoc
E Sha, L Wang, Q Zhuge, J Zhang, J Liu
International Journal of Parallel Programming 43, 381-402, 2015
Mandaten: National Natural Science Foundation of China
Energy optimization for data allocation with hybrid SRAM+ NVM SPM
Y Wang, K Li, J Zhang, K Li
IEEE Transactions on Circuits and Systems I: Regular Papers 65 (1), 307-318, 2017
Mandaten: National Natural Science Foundation of China
Bridging python to silicon: The soda toolchain
NB Agostini, S Curzel, JJ Zhang, A Limaye, C Tan, V Amatya, M Minutoli, ...
IEEE Micro 42 (5), 78-88, 2022
Mandaten: US Department of Energy, US Department of Defense
A 12nm agile-designed SoC for swarm-based perception with heterogeneous IP blocks, a reconfigurable memory hierarchy, and an 800MHz multi-plane NoC
T Jia, P Mantovani, MC Dos Santos, D Giri, J Zuckerman, EJ Loscalzo, ...
ESSCIRC 2022-IEEE 48th European Solid State Circuits Conference (ESSCIRC …, 2022
Mandaten: US Department of Defense
CompAct: On-chip Compression of Activations for Low Power Systolic Array Based CNN Acceleration
J Zhang, P Raj, S Zarar, A Ambardekar, S Garg
ACM Transactions on Embedded Computing Systems (TECS) 18 (5s), 1-24, 2019
Mandaten: US National Science Foundation
Millimeter wave wireless assisted robot navigation with link state classification
M Yin, AK Veldanda, A Trivedi, J Zhang, K Pfeiffer, Y Hu, S Garg, E Erkip, ...
IEEE Open Journal of the Communications Society 3, 493-507, 2022
Mandaten: US National Science Foundation
22.9 A 12nm 18.1 TFLOPs/W sparse transformer processor with entropy-based early exit, mixed-precision predication and fine-grained power management
T Tambe, J Zhang, C Hooper, T Jia, PN Whatmough, J Zuckerman, ...
2023 IEEE International Solid-State Circuits Conference (ISSCC), 342-344, 2023
Mandaten: US National Science Foundation, US Department of Defense
Secure and resilient socs for autonomous vehicles
P Bose, A Vega, S Adve, V Adve, S Misailovic, L Carloni, K Shepard, ...
International Workshop on Domain Specific System Architecture (DOSSA), in …, 2021
Mandaten: US Department of Defense
A Scalable Methodology for Agile Chip Development with Open-Source Hardware Components
MC dos Santos, T Jia, M Cochet, K Swaminathan, J Zuckerman, ...
2022 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2022
Mandaten: US Department of Defense
Towards automatic and agile AI/ML accelerator design with end-to-end synthesis
JJ Zhang, NB Agostini, S Song, C Tan, A Limaye, V Amatya, J Manzano, ...
2021 IEEE 32nd International Conference on Application-specific Systems …, 2021
Mandaten: US Department of Energy, US Department of Defense
Energy-efficient brain-inspired hyperdimensional computing using voltage scaling
S Zhang, R Wang, D Ma, JJ Zhang, X Yin, X Jiao
2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), 52-55, 2022
Mandaten: US National Science Foundation
ASAP: automatic synthesis of area-efficient and precision-aware CGRAs
C Tan, T Tambe, J Zhang, B Fang, T Geng, GY Wei, D Brooks, A Tumeo, ...
Proceedings of the 36th ACM International Conference on Supercomputing, 1-13, 2022
Mandaten: US Department of Energy
End-to-end synthesis of dynamically controlled machine learning accelerators
S Curzel, NB Agostini, VG Castellana, M Minutoli, A Limaye, J Manzano, ...
IEEE Transactions on Computers 71 (12), 3074-3087, 2022
Mandaten: European Commission
Synergistic timing speculation for multi-threaded programs
A Yasin, JJ Zhang, H Chen, S Garg, S Roy, K Chakraborty
Proceedings of the 53rd Annual Design Automation Conference, 1-6, 2016
Mandaten: US National Science Foundation
Path planning under uncertainty to localize mmWave sources
K Pfeiffer, Y Jia, M Yin, AK Veldanda, Y Hu, A Trivedi, J Zhang, S Garg, ...
2023 IEEE International Conference on Robotics and Automation (ICRA), 3461-3467, 2023
Mandaten: US National Science Foundation
Publicatie- en financieringsgegevens worden automatisch vastgesteld door een computerprogramma