RaPiD: AI accelerator for ultra-low precision training and inference S Venkataramani, V Srinivasan, W Wang, S Sen, J Zhang, A Agrawal, ... 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture …, 2021 | 86 | 2021 |
9.1 A 7nm 4-core AI chip with 25.6 TFLOPS hybrid FP8 training, 102.4 TOPS INT4 inference and workload-aware throttling A Agrawal, SK Lee, J Silberman, M Ziegler, M Kang, S Venkataramani, ... 2021 IEEE International Solid-State Circuits Conference (ISSCC) 64, 144-146, 2021 | 84 | 2021 |
Pin accessibility-driven cell layout redesign and placement optimization J Seo, J Jung, S Kim, Y Shin Proceedings of the 54th Annual Design Automation Conference 2017, 1-6, 2017 | 62 | 2017 |
Routing-free crosstalk prediction R Liang, Z Xie, J Jung, V Chauha, Y Chen, J Hu, H Xiang, GJ Nam Proceedings of the 39th International Conference on Computer-Aided Design, 1-9, 2020 | 43 | 2020 |
Energy-efficient Spin-Transfer Torque RAM cache exploiting additional all-zero-data flags J Jung, Y Nakata, M Yoshimoto, H Kawaguchi International symposium on quality electronic design (ISQED), 216-222, 2013 | 36 | 2013 |
Metrics2. 1 and flow tuning in the ieee ceda robust design flow and openroad iccad special session paper J Jung, AB Kahng, S Kim, R Varadarajan 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 1-9, 2021 | 24 | 2021 |
A 7-nm four-core mixed-precision AI chip with 26.2-TFLOPS hybrid-FP8 training, 104.9-TOPS INT4 inference, and workload-aware throttling SK Lee, A Agrawal, J Silberman, M Ziegler, M Kang, S Venkataramani, ... IEEE Journal of Solid-State Circuits 57 (1), 182-197, 2021 | 22 | 2021 |
OpenDesign Flow Database: The infrastructure for VLSI design and design automation research J Jung, IHR Jiang, GJ Nam, VN Kravets, L Behjat, YL Li 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-6, 2016 | 20 | 2016 |
DATC RDF-2020: Strengthening the foundation for academic research in IC physical design J Chen, IHR Jiang, J Jung, AB Kahng, VN Kravets, YL Li, ST Lin, M Woo Proceedings of the 39th International Conference on Computer-Aided Design, 1-6, 2020 | 16 | 2020 |
DATC RDF-2019: Towards a complete academic reference design flow J Chen, IHR Jiang, J Jung, AB Kahng, VN Kravets, YL Li, ST Lin, M Woo 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-6, 2019 | 16 | 2019 |
Transient clock power estimation of pre-CTS netlist Y Kwon, J Jung, I Han, Y Shin 2018 IEEE International Symposium on Circuits and Systems (ISCAS), 1-4, 2018 | 16 | 2018 |
OWARU: Free space-aware timing-driven incremental placement with critical path smoothing J Jung, GJ Nam, LN Reddy, IHR Jiang, Y Shin IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2017 | 14 | 2017 |
DATC RDF: Robust design flow database J Jung, PY Lee, YS Wu, NK Darav, IHR Jiang, VN Kravets, L Behjat, YL Li, ... 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 872-873, 2017 | 14 | 2017 |
Fault-criticality assessment for AI accelerators using graph convolutional networks A Chaudhuri, J Talukdar, J Jung, GJ Nam, K Chakrabarty 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2021 | 13 | 2021 |
DATC RDF: An academic flow from logic synthesis to detailed routing J Jung, IHR Jiang, J Chen, ST Lin, YL Li, VN Kravets, GJ Nam 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-4, 2018 | 13 | 2018 |
Datc rdf-2021: Design flow and beyond iccad special session paper J Chen, IHR Jiang, J Jung, AB Kahng, S Kim, VN Kravets, YL Li, ... 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 1-6, 2021 | 12 | 2021 |
Probe3. 0: A systematic framework for design-technology pathfinding with improved design enablement S Choi, J Jung, AB Kahng, M Kim, CH Park, B Pramanik, D Yoon IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2023 | 11 | 2023 |
FlowTuner: A multi-stage EDA flow tuner exploiting parameter knowledge transfer R Liang, J Jung, H Xiang, L Reddy, A Lvov, J Hu, GJ Nam 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 1-9, 2021 | 10 | 2021 |
BISTLock: Efficient IP piracy protection using BIST S Chen, J Jung, P Song, K Chakrabarty, GJ Nam 2020 IEEE International Test Conference (ITC), 1-5, 2020 | 10 | 2020 |
Integrated latch placement and cloning for timing optimization J Jung, GJ Nam, W Chung, Y Shin ACM Transactions on Design Automation of Electronic Systems (TODAES) 24 (2 …, 2019 | 8 | 2019 |